ためになるホームページ お問い合わせ




TOP > Verilog > forkとjoin
forkとjoin
begin〜endは順次処理ブロックであり、begin〜endの中の処理は、順次実行される。
これに対し、fork〜joinは、並列処理ブロックと呼ばれ、fork〜joinの間は並列で実行される。
fork〜joinは、論理合成には対応していない。

forkとjoinの例
initial begin
  A = 0; B = 0;
  #10 A = 1;
  #10 A = 0; B = 1;
  #20 A = a; B = 1;
end

//上記の処理は、以下と同じ
//fork〜joinは並列処理ブロックなので、
//時間の遅延が絶対時間となる
initial fork
  A = 0; B = 0;
  #10 A = 1;
  #20 A = 0; B = 1;
  #40 A = a; B = 1;
join






Copyright 2007 ためになるホームページ All Rights Reserved.