ためになるホームページ お問い合わせ




TOP > Verilog > シミュレーションの中断と終了
$stop
シミュレーションを中断する。$stopが実行されたあと、対話モードに入る。対話モード中に「help」と入力すると、ヘルプが表示される。

$stopの例
initial begin
  if (...)
    $stop;
end


$finish
完全にシミュレーションを終了する。

$finishの例
initial begin
  if (...)
    $finish;
end







Copyright 2007 ためになるホームページ All Rights Reserved.