ためになるホームページ お問い合わせ




TOP > SystemC > Dフリップフロップ
Dフリップフロップ
Dフリップフロップは、クロックの立ち上がりで入力Dを保持し、出力Qを出す。真理表は以下の通り。
CLK D(IN) Q(OUT) Q_B(OUT) 動作
  変化なし(前の状態) 変化なし(前の状態) 変化無し
0 0 1 リセット
1 1 0 セット

Dフリップフロップの例
#include "systemc.h"

class D_FF : public sc_module
{
public:


  sc_in_clk    C;        //CLK
  sc_in<bool>  D;        //Set
  sc_out<bool> Q;        //Output
  sc_out<bool> Q_B;      //Reverse Output

  SC_HAS_PROCESS(D_FF);
  D_FF(sc_module_name name) : sc_module(name)
  {
    SC_THREAD(main);
    sensitive << C.pos();
    SC_METHOD(q_main);
    sensitive << Q;
  }

  void main(void)
  {
    while (1)
    {
      Q.write(D.read());
      wait();
    }
  }
  void q_main(void)
  {
    Q_B.write(!Q);
  }
};






Copyright 2007 ためになるホームページ All Rights Reserved.