ためになるホームページ お問い合わせ




TOP > SystemC > クロックの使い方
クロックの定義
モジュールでクロック専用ポートには、以下の3種類がある。
  • sc_in_clk クロック名・・・クロック入力専用ポート
  • sc_out_clk クロック名・・・クロック出力専用ポート
  • sc_inout_clk クロック名・・・クロック入出力専用ポート

  • クロックの立ち上がりと立ち下がり
    立ち上がりにはpos()メソッドを使用し、立ち下りにはneg()メソッドを使用する。
    (例)
      CLK.pos();//クロックの立ち上がり
      CLK.neg();//クロックの立ち下がり

    クロック信号定義
    モジュール内でクロック専用ポートを定義したら、システムファイル側でクロックを生成する必要がある。クロックを生成するには、以下の書式を使う。
      (書式)sc_clk 信号名("名前", 周期時間, 周期時間の単位, デューティ比, 最初のエッジの時間, 最初の時間のエッジの単位, 最初のエッジの初期値);

  • 周期時間の単位・最初の時間のエッジには以下の表のものが指定できる。
  • 最初のエッジの時間は、true(立ち上がり開始))・false(立下り開始)を指定する。

  • 単位名値(秒)
    SC_SEC(セカンド)1秒
    SC_MS(ミリセカンド)10-3
    SC_US(マイクロセカンド)10-6
    SC_NS(ナノセカンド)10-9
    SC_PS(ピコセカンド)10-12
    SC_FS(フェムトセカンド)10-15





    Copyright 2007 ためになるホームページ All Rights Reserved.